DLL load failed while importing Fem:

About the development of the FEM module/workbench.

Moderator: bernd

Post Reply
x70
Posts: 14
Joined: Mon Oct 05, 2020 3:42 pm

DLL load failed while importing Fem:

Post by x70 »

I try to load simpost file like this:

Code: Select all

result_obj = FreeCAD.ActiveDocument.addObject("Fem::FemPostPipeline", "pipe")
result_obj.read(file)
I just get
DLL load failed while importing Fem:The specified module could not be found.

with no hint on the missing (really missing ?) module.
anyone know which Dll is missing?
Post Reply